Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

my DFT compiler does not support command: set_scan_signal

Status
Not open for further replies.

ctzw

Newbie level 2
Joined
Sep 9, 2009
Messages
2
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,300
set_scan_signal

I learnt from all the Synopsys DFT tutorials that when doing scan insertion, 'set_scan_signal' can be used. However, I found my dc_shell-t could not recognize this command:

dc_shell> help set_scan_signal
Information: No commands matched 'set_scan_signal'. (CMD-040)

I was using:
dc_shell version - A-2007.12-SP5
dc_shell build date - Jul 18, 2008

So I used set_dft_signal instead, which is supposed to work in Autofix mode. It worked somehow. My DFT scritp contains following commands( the scan style is multiplexed_flip_flop):

1)
set_dft_signal -view exist -type ScanClock -timing [list 45 55] -port [get_ports CK] ; CK is the clock input port in top design RTL code.

2)
set_dft_signal -view exist -type Reset -port [get_ports rst_i] -active_state 1; rst_i is the reset input port in top design RTL code

3)
create_port -direction "in" scan_enable
set_dft_signal -view spec -type ScanEnable -active 1 -port scan_enable

However, I got hundreds of test rule violations when doing dft_drc, saying:
Warning: Reset input RN of DFF wbs_vtim_reg_20_ was not controlled. (D3-252)

How can I eliminate such violations, since I have defined rst_i in top module as the reset port. But I am not sure whether there are other reset inputs as well that I have missed. I was doing synthesis on a design with 100K gates.

Thanks.
 

Hello Friend,

dc_shell-t indicates DFTC in turbo mode in which 'set_scan_signal' can not be used instead use 'set_dft_signal'.

'set_scan_signal' will be accepted only DFTC in DB mode

Regards,
asic-dft.com
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top