Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

this signal is connected to multiple drivers

Status
Not open for further replies.

eng.geobar

Newbie level 5
Joined
Sep 3, 2009
Messages
9
Helped
1
Reputation
2
Reaction score
1
Trophy points
1,283
Location
Syria
Activity points
1,322
this signal is connected to multiple drivers.

I always get this message when I use a signal in to places in the process() , or in and out the process, or in the left or right of <=

How can I solve this problem?
 

To address this 'problem' you should use some intermediate signals (unique for each process) and then combine them.
Example: two processes driving the same signal:

Code:
process(...)
begin
  ..
  signalout <= ...
end process

process(...)
begin
  ..
  signalout <= ...
end process
gives you this error.

Use the following instead:
Code:
signal signalout1: ..
signal signalout2: ..

process(...)
begin
  ..
  signalout1 <= ...
end process

process(...)
begin
  ..
  signalout2 <= ...
end process

signalout <= signalout1 or signalout2;     -- Use some selection (like 'or' 'and' 'select' 'if') to combine the individual process signals

For processes you could also combine the two processes into a single process, but this is not advised.
 

    eng.geobar

    Points: 2
    Helpful Answer Positive Rating
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top