Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Creating VCD using the lsi_dumpports command questions

Status
Not open for further replies.

jjean

Newbie level 5
Joined
Feb 24, 2009
Messages
9
Helped
1
Reputation
2
Reaction score
1
Trophy points
1,283
Activity points
1,360
lsi_dumpports

Hello everyone,

I was trying to dump a VCD for a design using the lsi_dumpports command. I needed to dump out the ports in the design as well as enables for bidirectional ports. The enable signals would be nets in submodules of the design. How do I use lsi_dumpports to create a VCD including all these? The reason I am confused is that if only the module name can be given to lsi_dumpports, how can the enable nets be dumped out?

Also, is there any difference between the lsi_dumpports and the dumpvars command?

Appreciate any help
Regards,
Jean
 

Creating VCD

I do not know about the lsi_dumpports command/pli; so the following about the VCD dump tasks defined in the verilog specification may not be useful.

A typical approach is as follow:
1) Create a VCD file
$dumpfile(<fileName>"
2) Specify variables (signals, ports, registers, etc.) to record (dump)
$dumpvars(<level>, <list of variables/modules>);
<level> = 0 --> All modules
<level> = 1 --> Limit to the current level, in ohter words, only the variables within the module(s) specified, and so on
4) If desired, limit the size of the VCD file:
$dumplimit(<fileSize>)
3) If desired, Stop/Pause/Resume dumping via:
$dumpoff;
$dumpon;
4) If desired, flush buffers to VCD file, preferably just before the end of simulation
$dumpflush;

Hope this helps!
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top