Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

mutiple clock domain , method to avoid clock skew

Status
Not open for further replies.

kiranks9

Member level 3
Joined
Feb 21, 2008
Messages
59
Helped
2
Reputation
4
Reaction score
1
Trophy points
1,288
Activity points
1,648
lockup latch ocv

There are two clocks in a design, when we are doing scan insertion, adding lockup latches for avoiding the Clock Skews. Is there any other method to avoid clock skew in multiple design other than lockup latch? please let me let know
 

lockup latch with ocv

kiranks9 said:
There are two clocks in a design, when we are doing scan insertion, adding lockup latches for avoiding the Clock Skews. Is there any other method to avoid clock skew in multiple design other than lockup latch? please let me let know

If you take care of the skew between the two clocks while closing the testmode timning, you may aviod the lockup latch. But I am not sure about the silicon results.
 

Re: mutiple clock domain

yes this is rightly said, balancing both the scan clocks for the required skew limit should help and validating TEST STA for all the modes and corners and OCV(on chip variation) should aid your confidence of the design.

what do you loose having a lockup latch across the clocks ?

myprayers,
chip design made easy
https://www.vlsichipdesign.com
 

Re: mutiple clock domain

in fact ,with the process become smaller and smaller , the clock issue you should consider more with your tool!
 

Re: mutiple clock domain

yah v have another method other than lookup latch is to mention pin as PRESERVE PIN in clock tree specification file so that clock tree algorithm makes best to reduce skew .......

thanku......
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top