Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

NEED help in BPSK and BFSK demodulator

Status
Not open for further replies.

Mkanimozhi

Full Member level 4
Joined
Aug 8, 2007
Messages
193
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,296
Activity points
3,445
Hi,
i need any material to know in detail about digital design of BPSK and BFSK demodulation and i need to design in VHDL or Verilog , I am blank i don't know any thing about these two, please some one suggest me good material or example code in VHDL or verilog.


kanimozhi
 

Maybe good starting points are "Digital Communications" by Proakis and "Digital Communications: Fundamentals and Applications" by Sklar.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top