Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

can vcs generate an SAIF file directly ?

Status
Not open for further replies.

sevid

Member level 2
Joined
May 20, 2006
Messages
53
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Activity points
1,711
saif file

hi,everyone

in my testbench, i want to create a SAIF(Switching Activity Interchange Format) file directly with the system functions list as following:

$set_gate_level_monitoring("rtl_on");
...
...

but the vcs report a syntax error of the function.
i wonder that if vcs has the function and there is somethine wrong with using it.

thanks in advance.

sevid
 

vcs saif

You can use UCLI prompt to dump saif files directly. Make sure you use the latest version of VCS ( 2006.06-SP2-7 or later).

Compile with -debug or -debug_all option,

When running, add -ucli option to commandline, this will give you ucli prompt.
"power -help" will give you info on saif dumping.
 

saif file format

thanks to rjainv

but i cannt generate a saif file with ucli prompt.
and i cannt get it from ucli user guide.

and the "power -help" command is not available in ucli prompt.

what can i do then

thanks in advance.

sevid
 

saif generate

Are you sure you are running with latest version of VCS ?

I have seen that 2006.06-13 doesn't support it, while 2006.07-SP2-7 does. I am not sure in which version they introduced "power" command to ucli prompt.
 

saif vcs

thanks to rjainv

it's my mistake.
my vcs is not the latest, but only a 05 version.

i use "vcd2saif" command instead.

thank u very much.

sevid
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top