Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Problem with $readmemb in Modelsim 6.3

Status
Not open for further replies.

qingmian

Newbie level 1
Joined
Oct 26, 2008
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,289
parameter IDATA_WIDTH = 12;
reg [IDATA_WIDTH-1:0] fir_in[9:0];
initial
begin
$readmemb("F:\Modeltech_6.0\resourse.txt",fir_in);

end

when simulating ,it does'nt work as below:

# ** Warning: (vsim-7) Failed to open readmem file "F:Modeltech_6.0resourse.txt" in read mode.
# No such file or directory. (errno = ENOENT) : E:/quartus/mydesin/da/da_fir_test.v(27)
# Time: 100 ns Iteration: 0 Instance: /da_fir_test


I have reinstalled the modelsim se 6.3,it's not useful.


This error have been solved. i try many ways,when i put the resourse.txt to C:,it works, so strange!!
 

$readmemb

i too got the problem.
try to keep the file in the same directory.

remove the testbench file from your project and then add it again it will work.

i guess u will be having more than one testbench files in your project.

try to have one test becnh file in ur project
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top