Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Simulation with VHDL: How to create stimulus with Jitter?

Status
Not open for further replies.

Black Jack

Full Member level 4
Joined
Dec 2, 2003
Messages
236
Helped
14
Reputation
28
Reaction score
3
Trophy points
1,298
Location
UKRAINE
Activity points
1,817
Hello!
I`m need to create clock stimulus with jitter.
I think that need to generate random numbers in desired range and
add/substract from constant for period.
What you think about this?
Can anyone share examples of VHDL code?

-- Regards, Jack
 

Re: Simulation with VHDL: How to create stimulus with Jitter

You are on right track! That the way we generate clock with gitter!
 

You must use functions in "ieee.math_real" library to generate random numbers in a limited interval.
 

Re: Simulation with VHDL: How to create stimulus with Jitter

tohidsedghi said:
it is perfect

1) Whats "perfect"? :)
2) Thanks for gurus from https://verificationguild.com.
They advise me with code like this

Code:
sys_clk_process:  process
    -- variables for uniform
     variable seed1, seed2 : positive;
     variable r : real;
  begin
    uniform(seed1, seed2, r);
    d <= r * 1 ns;
    wait for SYS_CLK_PERIOD/2;
    clock <= '0';
    wait for SYS_CLK_PERIOD/2;
    clock <= '1'; 
end process;

sys_clk <= transport clock after d;
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top