Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Inferring Vs Instantiation ??

Status
Not open for further replies.

vishwa

Banned
Joined
Aug 11, 2005
Messages
146
Helped
15
Reputation
30
Reaction score
2
Trophy points
1,298
Location
India
Activity points
0
Hello,


In an interview, i was asked about the difference between inferring logic and instantiation of components in an FPGA.

Pls help me.


Thnaks,
Viswa
 

A helpful page from the Xilinx ISE documentation:
**broken link removed**
 

Hi,

Thanks for the reply. I have gone through the given link.

But I couldn't understand it properly.

Can anyone explain me cearly with examples. How to infer logic in HDL and what would be the output after synthesis.


Thanks,
Vishwa
 

Here's a Verilog inference example. The synthesis tool recognizes (infers) the 8-bit up-counter with synchronous clear, and generates an appropriate register with adder logic.
Code:
module top (clk, clear, count);
  input             clk, clear;
  output reg  [7:0] count=0;

  always @ (posedge clk)
    count <= clear ? 0 : count + 1;
endmodule

If you simply drop a "COUNTER" module from your synthesis tool's library into your HDL, that's instantiation. Of course, your library may not have a COUNTER module, or it may have a different name, or it may have different I/O signals.
Code:
module top (clk, clear, count);
  input             clk, clear;
  output      [7:0] count;

  COUNTER #(.WIDTH(8)) u1 (.CLK(clk), .CLEAR(clear), .OUT(count));
endmodule
Either way, you should get very similar register/logic results in your FPGA/CPLD.
 

inferring is the logic which tool generate automatically which you dont describe....
instantiation is the logic which tool generate which you describe....
 

along with all the previous post..i would say inferring logic makes design portable across different platforms\vendors..
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top