Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Modelsim error - assuming recursive instantiation

Status
Not open for further replies.

kalyansrinivas

Advanced Member level 4
Joined
Jul 7, 2007
Messages
100
Helped
5
Reputation
10
Reaction score
4
Trophy points
1,298
Activity points
1,910
Hi friends please some body help me out of this Modelsim error


# Loading work.test_bench
# ** Error: (vsim-3036) Instantiation depth of '/test_bench' is 81. Assuming recursive instantiation.
# Region: /test_bench
# ** Error: (vsim-3036) Instantiation depth of '/test_bench' is 82. Assuming recursive instantiation.
# Region: /test_bench

Added after 29 minutes:

Hi i got the solution for it that i should not use the project name similar to the module instantiation name
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top