Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Verilog - generate multiple interconnected modules

Status
Not open for further replies.
segment[13:7] goes to 10's place of LED, segment[6:0] goes to 1's place.

Code:
reg [13:0] segment;

always @ (sum1 or sum2 or ....) begin
  case({co, sum4, sum3, sum2, sum1})
     5'b00000: segment = 14'b0000000_0111111;
     5'b00001: segment = 14'b0000000_0000110;
     5'b00010: segment = 14'b0000000_1011011;
       .....
     5'b01010: segment = 14'b0000110_0111111;
     5'b01011: segment = 14'b0000110_0000110;
      ......
   endcase
end
 

hi...

This post was very useful...
I am a newbie to verilog. Is it possible to use "if statement " inside generate ??????? I tried to use , i am getting the error " Illegal reference to genvar i".

This is my code..

The variable "txrx" is output of another module.

genvar i;
assign i=0;
generate
if(i==txrx)
begin : prog
............................
...................
end
endgenerate
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top