Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Project in programming the Silabs c8051F005DK

Status
Not open for further replies.

robismyname

Full Member level 6
Joined
Jan 17, 2008
Messages
390
Helped
11
Reputation
22
Reaction score
9
Trophy points
1,298
Location
Central Florida
Activity points
4,603
Overall my project is to program my 8051 to send a sequence of 4 bits to my Cirronet 2410 OEM radio card #1 for trasmission. And have another 8051 connected to another cironnet OEM radio card #2 to recieve the 4 bits. The 8051 connected to radio card #2 and will have 8 LED's on its target board. 4 led's indicate the received bits. The other 4 leds will count the bits not received. I am expecting the led's that are associated with the received bits to be more active (blinking) than the led's counting bits that were not received.

For radio card #1 for transmission I already took the TX and RX pins from the radio card and soldered them to my Controller target board to my UART. The cirronet radio pin#2 is input, pin #3 is output. The MCU pin#18 (P0.1)(RX) leads to pin#3 of the radio card. The MCU pin#17 (P0.0)(TX) leads to pin#2 of the radio card.


as far as my code is concerned.......I'm using the SILABS IDE.

I never written code at this level before and would like to know if someone out there can help me:

1) get the controller programmed to transmit bits out of the first radio card
2) get the controller programmed to receive bits and display via LED's

I attached the data sheet to the controller and my code if anyone is kind enough to help.

Perhaps the best approach is to just tell me what I'm missing and clues on how to do it may be a good start.
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top