Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

about file reading in ise

Status
Not open for further replies.

shenql

Junior Member level 2
Joined
Nov 20, 2007
Messages
24
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,419
xst:796

hi,everybody
I run a vhdl core on the Modelsim XE 6.2,It's all right!
but when I add this source into ise project ,the problem is coming...

part of core:
getdata:process(clk)
type dtype is array (0 to 1535) of integer range 0 to 255;
type text is file of integer ;
file infile: text is in "D:/test3.eti";
variable i:integer:=0;
variable data :dtype;
while (i<1536 )loop
-- the loop for reading the file
read(infile,data(i));

the error is "ERROR:Xst:796 - "D:/Xilinx92i/ETI/ETI.vhdl" line 83: VHDL source expression not yet supported: 'FileDeclaration'."

who know this? please help me, thanks
 

Try modifying the code so its syntax resembles the example in the XST User Guide, "Initializing Block RAM from external data file".
 

where can i find the example in the XST User Guide?
 

Search for the string "Initializing Block RAM from external data file".
It's on page 236 of the version 9.2i manual.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top