Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to decrease time slacks in Synplify Pro?

Status
Not open for further replies.

mycentury2003

Newbie level 2
Joined
Sep 28, 2003
Messages
2
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
18
Synplify Pro timing

Hi,

I had tried different version of Synplify 7.0, 7.1, 7.2, and 7.3.
I found out the time slacks are getting worst as the version evolves.
The design that once pass the timing constraints in 7.0 (15MHz)
now has slack about -5ns in 7.3.

Is there any additional settings I need to take care of?
 

Re: Synplify Pro timing

the same situation with me,
i don't know what happen or
what new constraint i should need....
 

Re: Synplify Pro timing

Remember that Synplify is just making an estimate of what the timing will be - it's not until you run it through the FPGA vendors PAR tools that you get the definitive answer. Has the PAR timing changed across the revisions?

Also, if you are targetting a new FPGA/PLD architecture it could be that the FPGA vendor has revised their characterisation data of the device, and this is being reflected in the different results you are getting...

J
 

Re: Synplify Pro timing

I found overset the clock frequency can get better results.
 

I suggest you an icremental approach to synthesis... The first time try oversetting the clock frequency (e.g. 200 MHz) and get the estimeted clock frequency. Then do a new synthesis step with a new clock frequency near to the previously found (use a little bit higher frequency than the former found one)
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top