Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

16 bit Upcounter in VHDL (ISE 4.2 )

Status
Not open for further replies.

kollosse

Newbie level 3
Joined
Jul 29, 2003
Messages
3
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
25
Hi,

how can i progammed a 16bit upcounter under VHDL.

I use ISE 4.2 and a XC9572XL PLCC44 CPLD (XILINX)

How must i assing the Counter-outputs [D15..D0] to the pins.

Sorry but my english is not so good.

Johann
 

reading your email I understand that you are a newbie to VHDL and FPGA/CPLD design, is that right?

In that case you need some basis before you fry a chip. I would suggest you to have a look to some tutorials (search in this group) of VHDL and FPGA/CPLD design.

Basic steps :roll: :

1) write your VHDL for the counter
2) simulate it
3) synthesize it
4) P&R it, for this you need to map it first using a UCF (User Constraint File), this file is where you write the LOC (location) of your pins, where your internal signals are connected.

Good luck,
- maestor
 

I believe that maestor is right
if foundation ISE you can find examples that contain VHDL code for counter. and the quick manual accessed from the help had quick guid that explain pin assignment

regards
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top