Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Which system task in VHDL is used to display current simulation time?

Status
Not open for further replies.

xihushui

Member level 2
Joined
May 12, 2006
Messages
50
Helped
3
Reputation
6
Reaction score
3
Trophy points
1,288
Activity points
1,599
hi ,i want to display the current simulation time and the value of some variables,which system task or function be used ? thank you
 

Re: system task in VHDL

xihushui said:
hi ,i want to display the current simulation time and the value of some variables,which system task or function be used ? thank you

Code:
report "Time is " & time'image(now);

HTH
Ajeetha, CVC
www.noveldv.com
 

system task in VHDL

thank you aji_vlsi
if i want to display the value of some variable,how to do ?or which function is used?
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top