Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

optical heart-rate sensor

Status
Not open for further replies.

p0nta

Newbie level 6
Joined
Jul 9, 2007
Messages
12
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,352
help needed , i'm a beginner in designing the schematics. can someone look at the circuit , and help me.

this is the design.
90_1185276732.gif


this is the schematic i made T_T

74_1185276933.gif

53_1185276995.gif


is my design correct? when i stimulate , it says something about Pin VEE not modelled for U2. what does it means ? help anybody... sorry if it is a stupid questions. thanks.

Added after 4 hours 25 minutes:

anyone have tried doing this project before this ?
 

is this a wrong place to post this topic?
 

That question mainly relates to simulation tool (guess proteus) . Next guess is that you did not specify connection of U2 power pin to power rails in your program.
Sorry i dont use Proteus .

BTW , you miss Q1 emitter connection to opamp output
 

In a simulator for each component there requires a model file. In your simulation there is no model file available for the VEE. You have to add that modle file and verify it.
 

p0nta said:
help needed , i'm a beginner in designing the schematics. can someone look at the circuit , and help me.

is my design correct? when i stimulate , it says something about Pin VEE not modelled for U2. what does it means ? help anybody... sorry if it is a stupid questions. thanks.

Added after 4 hours 25 minutes:

anyone have tried doing this project before this ?


just check this post you will find every thing here also send me your dsn file via pm to solve vee problem



regards
Fragrance
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top