Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How can I import a VHDL package in SystemVerilog environment

Status
Not open for further replies.

xrisas1

Newbie level 3
Joined
Mar 16, 2006
Messages
3
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,299
import system verilog

Hi Guys,

I need to import a VHDL package into a SystemVerilog Envirnment. Does Anybody know how this can be done?

import package::*

and

`include "package.vhd"

and

instantiating the package as a unit in the sv file

DO NOT WORK


Thanks
 

include vhdl package in system verilog

Which guide????

Thanks
 

get system verilog constant in vhdl

Depends on the tool you use. If you use Questa, yes, use:

Code:
vcom -mixedsvvh

and then the data types, constants declared in VHDL are visible in SV. There are some restrictions as well, read the user guide.

Other tools may not support this, a WA will be to rewrite them in SV (can potentially use a script).

HTH
Ajeetha, CVC
www.cvcblr.com
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top