Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Cannot Read Data in 1-Port RAM IP Core

Status
Not open for further replies.

learni

Newbie level 1
Joined
Aug 5, 2017
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
12
Hi all.
I am using a 1-port RAM created using Altera Quarus 16 Lite(IP Catalog). It has width of 16 bits and depth of 64 words. I store in data from a computing register in it and want to read it after some time as I will use this data as input for another module. The data transmission is fine but as I make write_enable '0' and read_enable '1', I receive only first and last data bytes(and middle 1-62 data is lost). But If I make write_enable '1' and read_enable '1' its working fine with a delay of 1 cycle. I do not understand if I am really saving data in RAM or not. Here is behavioral code.Here is the link **broken link removed** to the original question as I cannot post the code and problem in here as it exceeds the allowed character length.
Thanks in advance.
 
Last edited by a moderator:

First, this is in the wrong section of the forum. Second, your code is reading from address 0 at all times as pointed out in the stackoverflow thread.
 

You use en as both a write enable and as a clock enable. Using rst1 as a control signal to reset the address is a poor design choice.

Next time post the code on edaboard. Use code or syntax (preferred) tags. They are applied by placing the following tags around your code. Note remove all spaces (spaces added to keep the tags from taking effect).
[ code ]
-- your code goes here
[/ code ]
or
[ syntax=vhdl ]
-- your code goes here
[/ syntax ]
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top