Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to code ADC in Verilog?

Status
Not open for further replies.

david90

Advanced Member level 1
Joined
May 5, 2004
Messages
423
Helped
9
Reputation
18
Reaction score
4
Trophy points
1,298
Activity points
3,611
verilog flash adc

how do you code an ADC in verilog? I know it is possible to code an DAC in verilog by using PWM but not sure how to do ADC. Can somebody help me?
 

verilog adc real

ADC is mostly implemented with analog circuit(r-2r adc,flash adc)... so not possible to write verilog code
 

ADC in verilog

**broken link removed**


**broken link removed**
 

Re: ADC in verilog

D/A converters are typically compact and easier to design. Why not do A/D convert using a D/A converter and a comparator using op_amps?D to A generates analog voltage which is compared to the input voltage. If D to A voltage > input voltage then set that bit; otherwise, reset that bit. This type of A to D takes a fixed amount of time proportional to the bit length
 

Re: verilog flash adc

when we code a DAC in verilog, the PWM signal is not an analog one it is a digital signal with 1 bit. that'is it?

---------- Post added at 11:52 ---------- Previous post was at 11:49 ----------

Hi all,
Can any one help me how can i code a thermometer-coded DAC based on current sources with VHDL?

---------- Post added at 11:53 ---------- Previous post was at 11:52 ----------

Hi all,
Can any one help me how can i code a thermometer-coded DAC based on current sources with VHDL?
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top