Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

VHDL unconstrained array in VCS

Status
Not open for further replies.

shaiko

Advanced Member level 5
Joined
Aug 20, 2011
Messages
2,644
Helped
303
Reputation
608
Reaction score
297
Trophy points
1,363
Activity points
18,302
Hello,

In my design I use arrays of unsigned vectors. For this purpose, I define an unconstrained array type inside a package as follows:
Code:
type generic_array_unsigned_1d is array ( natural range <> ) of unsigned ;
In the source file where I use this type I define the signal as follows:
Code:
signal some_array_of_unsigned : generic_array_unsigned_1d is array ( 0 to some_depth - 1 ) ( some_width - 1 downto 0 ) ;
The code compiles successfully with Modelsim / Questa , Vivado and Quartus.

However, when I try to compile it with VCS - It fails on the line where the signal is declared with an obscure message:
"Syntax error detected during VHDL parsing".
* I verified that the tool is set to VHDL 2008.

What might cause such an error ?
 

Id say the tool doesnt support unconstrained arrays. But I dont use VCS, and cannot find documentation about 2008 support.
Have you raised a support ticket?
 

Yes. Waiting to hear back...
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top