Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

SAIF or VCD file generation

Status
Not open for further replies.

atena

Full Member level 1
Joined
Feb 22, 2007
Messages
97
Helped
7
Reputation
14
Reaction score
2
Trophy points
1,288
Activity points
1,896
saif

Excuse me everyone, i'm having a problem in power and timming analysis using VCD and SAIF file with PP and PT_SHELL. Can anybody help me,show me the detailed steps for SAIF file generation using VCS.
Besides it, i also have problem with VCS-MX simulator, with all the gate level modeling, VCS can run perfectly but with the Behavioral modeling it not show anything.
Thanks in advance.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top