Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Looking for Verilog or VHDL software

Status
Not open for further replies.

henrik2000

Advanced Member level 4
Joined
Jul 6, 2001
Messages
106
Helped
1
Reputation
2
Reaction score
0
Trophy points
1,296
Activity points
1,123
Verilog <==> VHDL

I was curious to know if anybody came across a 'decent' software (Not a $15K) ?

I usually use Verilog, but VHDL even similar a very strange construct sometimes. I am only interested of rtl level not behavioral..

Regards
 

check elektoda i think that i have seen some verilog and VHDL converter, if u dodn't find then tell me.
 

you may check X-HDL, it's a good biidirectional translator: **broken link removed**
 

Re: Verilog <==> VHDL

how to use th tool?
 

X-HDL is the best tool in this area .
The problem is that it is not free , but not so expensive also ...
 

i think it is the best way to rewrite it in the other language.
if you know the structure of design , you will implement it easier.
 

Re: Verilog <==> VHDL

xhdl is cheap and easy to use but it does not do good job when it comes to converting behavioral code or converting new constructs in verilog 2000 and beyond. Overall it converts about 80% of your code and you have to do the rest by hand.
 

Verilog <==> VHDL

I dont think there is any difference on the schematic.Why convert from one to the other?
 

Verilog <==> VHDL

i don't think it's a good idea to translate verilog & vhdl each other. if you have both model of them, try modlesim, ncsim or vcsmx to verification.
xhdl is good tool, but i still think that isn't good way.
you can read it into dc & write out with another format, but it's gtech_lib based.
 

Re: Verilog <==> VHDL

we got blocking statement in verilog, but no such statement analog to
VHDL? I wonder it can translate it well.
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top