Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to use VHDL mode in OrCAD 16.0 ???

Status
Not open for further replies.

aditya.ietstp

Junior Member level 1
Joined
Jul 4, 2011
Messages
15
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Location
New Delhi
Activity points
1,388
Hello Everybody
I'm using OrCAD 16.0 for Schematics, PSpice and PCB Editor, but there is also a mode for making VHDL projects, but i'nt able to make any sensible program/project. Please, help me out from the basics as i want to learn VHDL.
Thanks :grin:
 

I wouldnt go near OrCAD with VHDL.

I would try modelsim.
 

Orcad is PCB design software.
VHDL is used to describe digital logic.

They are not meant for the same job. OrCad is not a simulator or VHDL devolpment environment, so is totally unsuitable.
 

Okie !
Well, I want to take a start for VHDL, so can you suggest any site or tutorial, where i could get all the basic information regarding VHDL and ModelSIM ??
 

Thanks Trickydicky and Sanju_ for the VHDLTutorial Links.
Can u plz tell me the site for downloading the appropriate software, as there is no Freeware Student version available ?
 

MATLAB and Simulink wont teach you VHDL either. They're more for algorithm design.

For VHDL, get hold of modelsim. You can get a free version from here:

ModelSim
 
modelsim as TrickyDicky said and you can use xilinx for 30 days evaluations Xilinx: Downloads ..
other software i am not sure about student version
 
try reviewing sample design from <installdir>\tools\capture\samples\fpga. This will give you an idea of how to create a schematic with HDL implementation. This would give you HDL code for simple digital logic like adder. You can use OrCAD Capture to generate netlist VHDL or Verilog netlist for your complete schematic implementation. You can then pass this netlist to any HDL simulator for analysis.

Hope this helps.
 
thanks trickydicky, sanju_ and atripathi for the help.

---------- Post added at 12:26 ---------- Previous post was at 12:21 ----------

hello atripathi, how do i simulate/synthesis the vhdl programs via OrCAD ???
 

Simulation requires a simulator. Modelsim is a popular one, along with Xilinx ISE or Aldec ActiveHDL.

For synthesis you need a synthesisor. There are many of these, most common being altera Quartus or Xilinx XST.
 

hello everyone, its possible to simulate/synthesis VHDL/Verilog programs with OrCAD 16.0 Suite.
I have tried it.
Just go to : Directory > OrCAD > OrCAD 16.0 > Tools > Capture > Tutorial > CAPTUTOR.exe

Click on CAPTUTOR.exe > Lesson Menu > FPGA Design Flow
 

Orcad is PCB design software.
OrCad is not a simulator or VHDL devolpment environment, so is totally unsuitable.

As to this claim you are wrong. OrCAD is not PCB design software (only). What you mean is the OrCAD PCB software which is just one part of the complex OrCAD (Cadence) package containing also schematics editor(s) (Capture, Schematics), mixed (analog/digital) simulator (PSpice), etc. It likely contains also a part concerning VHDL, unfortunately I have no experience with it to give aditya.ietstp any required advice (I have an experience just with Schematics and PSpice).
 
Exactly Eric Best !
I'm emphasizing on OrCAD suite, because after getting sufficient knowledge, one can do 4 jobs : Schematics, Simulation, PCB Designing and VHDL/Verilog Simulation. Thats why, i want to learn VHDL. But, i haven't found any useful tutorial/source on any Search Engine. Just going through CAPTUTOR.exe...
 

Exactly Eric Best !
I'm emphasizing on OrCAD suite, because after getting sufficient knowledge, one can do 4 jobs : Schematics, Simulation, PCB Designing and VHDL/Verilog Simulation. Thats why, i want to learn VHDL. But, i haven't found any useful tutorial/source on any Search Engine. Just going through CAPTUTOR.exe...

I have no doubt that TrickyDicky knows that Orcad can do circuit simulation as well but the point he was making is that you can't use it for VHDL simulation, modelsim is one of the best for that, check the thread link below

https://www.edaboard.com/threads/103020/

Alex
 

I am not sure about orcad on VHDL/Verilog Simulation
but if you want to learn vhdl then i metioned above links also refer this
Welcome To VHDL Page VHDL Tutorial

also this book is good for starting vhdl
"Circuit design with VHDL by Volnei . Pedroni"
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top