Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

[SOLVED] PrimeTime : difference between asynchronous clock and logically exclusive clock

Status
Not open for further replies.

Jennis

Junior Member level 2
Joined
Apr 24, 2011
Messages
23
Helped
1
Reputation
2
Reaction score
1
Trophy points
1,283
Activity points
1,444
Hello Friends,

In my understanding asynchrouns or exclusive clocks are those which do not communicate with each other and can be set those clocks in false path. But in PrimeTime command "set_clock_groups" have two switchs "-logically_exclusive " and "-asynchronous" . Could anyone explain what is difference between those two clock groups and how does it affect in terms of timing analysis if I declare clock group as "-asynchrouns" instead of "-logically_exclusive".

Thanks
 

In many cases, I believe -async can be a superset of -exclusive, but there are some case you need -exclusive.


Code:
assign clk0 = sel0 ? sclk0 : sclk1;
assign clk1 = sel1 ? sclk2 : sclk3;
Suppose sclk0 and sclk2, sclk0 and clk3 are synchronous, but apparently sclk2 and sclk3 never happen at the same time.
How do you set clock groups without -exclusive ? (you can't put the same clock in the different clock groups with set_clock_groups).
 

If you are already a PT user, the man page for this command gives very good explanations. I can clarify some of the points where I have got confused during my early working days.

1.) Looks like you are mixing set_false_path and set_clock_groups. Both of them are very different and typically you don't need both at the same time in a certain section of the design.
The whole idea behind set_clock_groups is to make timing paths go away (i.e. not show up in reports - so in that sense it is similar to false paths, but both these commands have very different purposes).
All 3 options i.e. -asyn -phys_excl and -logic_exl will cause no paths to show up. So there is no need for an explicit false path if you are able to use set_clock_groups.

2.) The differenece between -async and -log_excl is in the way, PT handles crosstalk analysis.
If 2 clocks are async, it means that they don't have any phase relationship at all. So instead of using definite timing windows based on arrival times/skew etc, the tool will use infinite timing windows when calculating aggressors and victims,

When you use -logic_excl switch, it still means that no timing paths will show up, however crosstalk analysis will be done with regular timing windows based on arrival times/skew etc.
 
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top