Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

clock gating - implementing ICG cell

Status
Not open for further replies.

otis

Member level 3
Joined
Sep 21, 2010
Messages
60
Helped
4
Reputation
8
Reaction score
3
Trophy points
1,288
Activity points
1,711
clock gating

I am going to add a clock gate in my design. There are many papers in the Internet says do not use clock gate logic directly instead use ICG - Integrated Clock Gate.

But I dont know how to do this in RTL level.

I found this cell in the technology library.

But I am not sure how can I use this cell directly in RTL level.
 
Last edited:

Hi Karthik,
During synthesis you can enable low power clock gating option to insert clock gating cells (ICG) in your design for low power..check with your synthesis tool manual on how to enable low power clock gating
In rtl you can manual instantiate ICG cells but during simulations you need to include technology library simulation models to simulator.
 
Last edited:
  • Like
Reactions: otis

    otis

    Points: 2
    Helpful Answer Positive Rating
Most of the clock gating cells from libraries will have a module instance (Verilog) that you can instantiate in your source code. Most likely the module will have three inputs (clk, clk_en and test), one output port (clk) for gated clock.
 
  • Like
Reactions: otis

    otis

    Points: 2
    Helpful Answer Positive Rating
"set CLOCK_GATING_CELL integrated:<nom_integrated_librairie>"
 

how do u implement a clock gating by using an AND gate during synthesis stage? i know this is not recommended rather v use icg but i have given a task to do this n check the issues but i dont know wer to insert n how? should i do it in rtl or is der any RC command?
 

how do u implement a clock gating by using an AND gate during synthesis stage? i know this is not recommended rather v use icg but i have given a task to do this n check the issues but i dont know wer to insert n how? should i do it in rtl or is der any RC command?

AND gates can result in glitches, so not recommended. But if you think design can handle the glitches then you can do an eco in synthesized netlist or change in rtl to insert a AND gate and then make appropriate connections.
 
Last edited:

AND gates can result in glitches, so not recommended. But if you think design can handle the glitches then you can do an eco in synthesized netlist or change in rtl to insert a AND gate and then make appropriate connections.

wer to insert in rtl? in the top module or in the subdesign?
 

wer to insert in rtl? in the top module or in the subdesign?

You can insert into both. Consider that your novice answer in the reduce gate count thread is :grin: ask for a training can get you to the advanced level, i.e. where, when and how to insert CG in RTL.

- - - Updated - - -

I am going to add a clock gate in my design. There are many papers in the Internet says do not use clock gate logic directly instead use ICG - Integrated Clock Gate.

But I dont know how to do this in RTL level.

I found this cell in the technology library.

But I am not sure how can I use this cell directly in RTL level.

If the requirement is to add "a clock gate".. then one would guess it's in RTL.
Try read this,
http://asic-soc.blogspot.sg/2008/04/clock-gating.html

This is very common. You may also invert the latch output and replace the AND with OR.
latch_based_clock_gating.jpeg
 

this link has more details **broken link removed**.
Most of the clock gating cells from libraries will have a module instance (Verilog) that you can instantiate in your source code. Most likely the module will have three inputs (clk, clk_en and test), one output port (clk) for gated clock.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top