Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

warning during synthesis using ISE11.1

Status
Not open for further replies.

arun397

Junior Member level 2
Joined
Aug 6, 2009
Messages
20
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Location
india
Activity points
1,407
hi

i am getting the following warning during synthesis of my design.
WARNING:Xst:1290 - Hierarchical block <BW> is unconnected in block <RxTop>. It will be removed from the design.

and due to this the particular component is removed from the design.
my component declaration and port mapping are correct.
what could be the issue.

please help
 

Hi Arun,
This may help you
**broken link removed**
 

    arun397

    Points: 2
    Helpful Answer Positive Rating
Provide your design hierarchy or better provide the complete file so you might get helped...
 

    arun397

    Points: 2
    Helpful Answer Positive Rating
i have attached the complete code as asked ...............
please i would be very grateful if some one could answer it quickly please
 

please someone help iam running out of time. please,
i am not able to understand what to do...........
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top