Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Component is not bound warning in Modelsim

Status
Not open for further replies.

lmtg

Member level 3
Joined
Jan 25, 2009
Messages
65
Helped
4
Reputation
8
Reaction score
2
Trophy points
1,288
Activity points
1,686
I get the following warning in modelsim.. The simulation does run though. Sometimes it gives correct output sometimes just an 'X'.. Any ideas why and how i can solve:|:|:|:|

Loading simprim.x_one(x_one_v)
# Loading simprim.x_ckbuf(x_ckbuf_v)#1
# Loading simprim.x_obuf(x_obuf_v)#1
# ** Warning: (vsim-3473) Component instance "nlwblock_clkedand_gnd : x_zero" is not bound.
# Time: 0 ps Iteration: 0 Region: /ttt/uut File: netgen/translate/clkedand_translate.vhd
# ** Warning: (vsim-3473) Component instance "nlwblockroc : x_roc" is not bound.
# Time: 0 ps Iteration: 0 Region: /ttt/uut File: netgen/translate/clkedand_translate.vhd
# ** Warning: (vsim-3473) Component instance "nlwblocktoc : x_toc" is not bound.
# Time: 0 ps Iteration: 0 Region: /ttt/uut File: netgen/translate/clkedand_translate.vhd
 

x_obuf x

Hi I think u r using a evaluation version that is the reason it showing the not bound errror as ur code has exceeded the limit

regards
Kalyansrinivas
 
time: 0 ps iteration: 0 region

Hello ... Well I think it's a full version.. :(
 
modelsim not bound error

Have you the libs updated?

if that's a new core block you need the last libs to suport that or else the Modelsim don't know that block and look for it as a blackbox and put all outputs as don't care
 
modelsim error 3473 found

How do I update my libraries?? One of the unbound instance is the gnd.. I just force it to 0 in simulation is this right??
 
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top