Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Modelsim XE ii 5.7c license error

Status
Not open for further replies.

ruwanika

Newbie level 5
Joined
May 6, 2008
Messages
10
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,386
hi,
I got an error in the modelsim XE ii 5.7c.I copied my error bellow.

# Reading C:/Modeltech_xe/tcl/vsim/pref.tcl
# do counter1_tb.fdo
# ** Warning: (vlib-34) Library already exists at "work".
# Model Technology ModelSim XE II vcom 5.7c Compiler 2003.03 Mar 15 2003
# -- Loading package standard
# -- Loading package std_logic_1164
# -- Loading package std_logic_arith
# -- Loading package std_logic_unsigned
# -- Compiling entity counter
# -- Compiling architecture behavioral of counter
# Model Technology ModelSim XE II vcom 5.7c Compiler 2003.03 Mar 15 2003
# -- Loading package standard
# -- Loading package std_logic_1164
# -- Loading package std_logic_arith
# -- Loading package std_logic_unsigned
# -- Loading package textio
# -- Loading package std_logic_textio
# -- Compiling entity counter1_tb
# -- Compiling architecture testbench_arch of counter1_tb
# -- Loading entity counter
# vsim -lib work -t 1ps counter1_tb
# Licensing checkout error with feature xe-vhdl. (Error code -9.)
# The hostid of the license does not match the hostid for this machine.
# One of the following is likely:
# -The license is intended for another machine.
# -A dongle is not plugged into this machine.
# -The dongle driver is not installed or is not functioning properly.
# -The hostid mechanism has been changed or removed from this machine.
# ** Error: Failure to obtain a VHDL simulation license.
# Error loading design
# Error: Error loading design
# Pausing macro execution
# MACRO ./counter1_tb.fdo PAUSED at line 7


"I think this is a licence problem.can any one say the way how can i fix this error
without reinstall modelsim again.please reply as soon as possible.
 

failure to obtain a vhdl simulation license

Hi ,
R u using a genuine modelsim?
 

    ruwanika

    Points: 2
    Helpful Answer Positive Rating
hostid modelsim

yes it seems error due to license. is this your first time dealing with modelsim?
if not: the license depends on your host id (or your physical address if you r using windows), so have you changed your host id (or your physical address)?
 

    ruwanika

    Points: 2
    Helpful Answer Positive Rating
modelsim error code -9

was it working properly before this. Is the license is lying on your machine or your connected with server for license.
Please make shur abt the connection.

Please check the license type offered and the limits to it.
 

modelsim licence host id error

deepu_s_s said:
Hi ,
R u using a genuine modelsim?

no this is not genuine, but when i instaled this in my university lab, it worked properly.but at home, its get above error.

Added after 3 minutes:

muhammad_ali said:
yes it seems error due to license. is this your first time dealing with modelsim?
if not: the license depends on your host id (or your physical address if you r using windows), so have you changed your host id (or your physical address)?

no, I installed this in my university lab and it works properly.but this error is coming when i insall it at home.why is that.I havent change any address in my computer.
 

server id modelsim

r u using Modelsim only or you use another tools from Mentor?
because if you are using Modelsim only you can launch "license wizard test". you can invoke this wizard by:start --> programs -->Modelsim... --> licensing wizard.
this wizard guides you to test your license validity.

also it seems you r using license remotely. so make sure for your connection with the server that provides you with this license as gck told you.
 

host id for modelsim

muhammad_ali said:
r u using Modelsim only or you use another tools from Mentor?
because if you are using Modelsim only you can launch "license wizard test". you can invoke this wizard by:start --> programs -->Modelsim... --> licensing wizard.
this wizard guides you to test your license validity.

also it seems you r using license remotely. so make sure for your connection with the server that provides you with this license as gck told you.

I'm using xilinx.i need to install modelsim to simulating.I did the license wizard test and it appears the same error like this.

SE vhdl simulator license (vsim)
The hostid of the license does not match the hostid for this machine.
One of the following is likely:
-The license is intended for another machine.
-A dongle is not plugged into this machine.
-The dongle driver is not installed or is not functioning properly.
-The hostid mechanism has been changed or removed from this machine.

my computer is a stand alone one.no sever.with this modelsim installer package, there is exe file and by run it automatically creates the license.dat file there.in license wizard I gave the path of it.then do the wizard test,above error appears.I rensatll this several time.

"when installing this,I select the 'use flexid=7 key'-default selection at the"select component'window.i thought it is the error."but it didnt give any error in university lab.please help on this!
 

need change in modelsim hostid

well i don't how the modelsim can generate a license. but i am sure that getting a license for the modelsim can't be issued so. any way, to operate the modelsim(or any mentor graphics tool) you need a license file (such as license.dat you got previously) this license depends on your machine physical address. so if the physical address doesn't coincide with the one that is written in the license file, the tool will not operate then. so this is the first thing you have to check.

so oopen the license file you have as a text file then you will find a line start with SERVER then your machine name then the machine physical address.

check this and tell me
 

license.dat file of model sim xe

muhammad_ali said:
well i don't how the modelsim can generate a license. but i am sure that getting a license for the modelsim can't be issued so. any way, to operate the modelsim(or any mentor graphics tool) you need a license file (such as license.dat you got previously) this license depends on your machine physical address. so if the physical address doesn't coincide with the one that is written in the license file, the tool will not operate then. so this is the first thing you have to check.

so oopen the license file you have as a text file then you will find a line start with SERVER then your machine name then the machine physical address.

check this and tell me

thanks ur advise,well I try ur solution.but there is no name starting with "SERVER"
all things are there starting with "FEATURE".

well today I found a nother problem when i reintall this again.normally I install this by copy this s/w in to drive D and install it in drive C.at license wizard, I browsed the license path as "D:/modelsim../license.dat.then it asked me that it want to change the path of envirnmental variable " LM_LICENSE_FILE" in to this D:/model../license.dat.its correct.but i know this licence.dat file must be in where modelsim is installed.when i rerun the wizard again, the path appers same as "D:/model../license.dat.when i intalled this at university lab,the license.dat automatically changed its path in to where modelsim is installed.I copied the .dat file inside the modelsim directory(in C:/-where it is insalled)and then again run the wizard and browsed the new path.then envirnmental variable path is also changed.but the error is the same.I mannualy also changed the path at envirmental variable but error is the same as previous.

at university,I first instaled the modelsim and then install the xilinx.it works.at home,I first installed the xilinx and then modelsim.I also feel,is there any effect with this also.please help on this.I now fed up with this.thanks
 

can you use modelsim on mac

thanks ur advise,well I try ur solution.but there is no name starting with "SERVER"
all things are there starting with "FEATURE".

well today I found a nother problem when i reintall this again.normally I install this by copy this s/w in to drive D and install it in drive C.at license wizard, I browsed the license path as "D:/modelsim../license.dat.then it asked me that it want to change the path of envirnmental variable " LM_LICENSE_FILE" in to this D:/model../license.dat.its correct.but i know this licence.dat file must be in where modelsim is installed.when i rerun the wizard again, the path appers same as "D:/model../license.dat.when i intalled this at university lab,the license.dat automatically changed its path in to where modelsim is installed.I copied the .dat file inside the modelsim directory(in C:/-where it is insalled)and then again run the wizard and browsed the new path.then envirnmental variable path is also changed.but the error is the same.I mannualy also changed the path at envirmental variable but error is the same as previous.

at university,I first instaled the modelsim and then install the xilinx.it works.at home,I first installed the xilinx and then modelsim.I also feel,is there any effect with this also.please help on this.I now fed up with this.thanks
 

modelsim license file hostid dongle id

you have to kow that the tool is an entity and the license is another entity. to let the tool to work it must read from the license the features provided for the tool.

so let me check with you somethings please:
- from where you got this license?
- search for your machine physical address whether it exist or not in the license file.

because without yor machine physical address your tool will not work

i am waiting your reply
 

modelsim license host fail

sir, I think this is the modelsim cracked version.license is there.

yes, machine mac address is there in the .dat file.

did u think the problem that I mention in my last reply.license.dat is not automatically copy where the modelsim is install.

do u think installing windows XP again,will give the solution to this?actually I dont like that because whole other applications have to install again.I have no time to waste for that.please tell me the way ?
 

modelsim dongle

You can use Modelsim XE (Xilinx Edition). It is a 60 day free edition. You can get license by registering in Xilinx website.
 

host id error modelsim

thanks .can u send me the link that I should follow to det this?I tried to get this.what is this starter version?its capacity is 201mb.my previous Modelsim XE ii 5.7c is only 66MB .is this starter version is compatible with xilinx ISE 7.1i?
 

the license is intended for another machine.

ruwanika said:
thanks .can u send me the link that I should follow to det this?I tried to get this.what is this starter version?its capacity is 201mb.my previous Modelsim XE ii 5.7c is only 66MB .is this starter version is compatible with xilinx ISE 7.1i?

thanks, i down load modelsim XE ii 5.7c starter (free version).its works well.thanks all ur help!
 

modelsim licensing wizard wont start

I had this problem before. You should check your network card. Its driver must be installed properly.
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top