Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Does Xilinx support Verilog 2001?

Status
Not open for further replies.

deepu_s_s

Full Member level 5
Joined
Mar 24, 2007
Messages
305
Helped
15
Reputation
30
Reaction score
5
Trophy points
1,298
Activity points
3,021
Doubt with verilog

Hello Friends,

Does Xilinx support Verilog 2001?

Thanks and Regards
Deepak
 

Re: Doubt with verilog

xst supports verilog-2001
 

Doubt with verilog

I am currently using Xilinx 10.1 ISE . Then how should i save a file to use verilog 2001. is with .V or with any other extension?
 

Doubt with verilog

You can use the standard .V file extension. Within ISE open the XST properties window. Inside these properties is a check box for Verilog 2001. Enabling this box tells the tool to use Verilog 2001 type syntax and constructs.
Verilog 2001 applies only to the XST synthesis process. After XST is done, the design has been translated into the Xilinx format.
 

    deepu_s_s

    Points: 2
    Helpful Answer Positive Rating
Doubt with verilog

Hi..... I didnt find the xst properties ....

Can u please tell me in detail
 

Doubt with verilog

In process window right click on synthesis and select properties a pop up window displays xst properties
 

    deepu_s_s

    Points: 2
    Helpful Answer Positive Rating
Doubt with verilog

Thanks pramodm and banjo.. Do u have any pdfs on verilog 2001?
 

Doubt with verilog

Search this board for IEEE 1364-2001 or IEEE 1364-2005 and you will eventually find the official language standard.

See "XST Verilog Language Support" in your XST User Guide.

Many Verilog features aren't supported by the Xilinx synthesis tools.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top