Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

For digital designers, Can u tell me which tool is that??

Status
Not open for further replies.

ahmad_abdulghany

Advanced Member level 4
Joined
Apr 12, 2005
Messages
1,206
Helped
102
Reputation
206
Reaction score
22
Trophy points
1,318
Location
San Jose, California, USA
Activity points
11,769
Hi,
I wanna know a brief description about each/some of these tools as well as the EDA vendor of each.. I stated some, but need to correct me if i'm wrong.. thanks,

Synopsis:
* PrimeTime
* Design Compiler
* Design Analyser
* Desing Vision
* Astro

Cadence
* Silicon Encounter
* Silicon Ensemble
* Specman (verification tool with E-language)

Magma
Do they have any tools related to Digital design flow?? I just want to know names.

All comments about the above tools as well as other famous tools (even names only) will be really helpfull to me.

Thanks in advance,
Ahmad,
 

Hi Ahmad,

Here, I would like to add one more EDA vendor:

Mentor Graphics:
1) FPGA Advantage:
+ HDL Designer.
+ Simulation => ModelSim
+ Synthesis => Leonardo Spectrum (ASIC + FPGA)
=> Precision (FPGA only)

2) Design Architect + ELDO (Custom Design n Simulation)
3) IC Station SDL (Floorplan n PnR)
4) Calibre (Physical Design Verification)

and many more.. You can visit mentor graphics website for more info.

rgds,
no_mad
 

Re: For digital designers, Can u tell me which tool is that?

Unlike other vendors, magma has one integrated tool and RTL-GDSII flow. You just need to enable respective license features. Any how depending on the license features, the tool names are

1. Blast create ( RTL-placed gates)
2. Blast Fusion ( Physical Synthesis to GDSII )
3. Quartz RC
4.Quartz DRC ( Sign-off DRC checking)
5. Quartz Time ( Sign-off timing )
6. Quartz Rail ( power analysis)
7. Quartz Formal ( Formal verification ) etc...

ahmad_abdulghany said:
Hi,
I wanna know a brief description about each/some of these tools as well as the EDA vendor of each.. I stated some, but need to correct me if i'm wrong.. thanks,

Synopsis:
* PrimeTime
* Design Compiler
* Design Analyser
* Desing Vision
* Astro

Cadence
* Silicon Encounter
* Silicon Ensemble
* Specman (verification tool with E-language)

Magma
Do they have any tools related to Digital design flow?? I just want to know names.

All comments about the above tools as well as other famous tools (even names only) will be really helpfull to me.

Thanks in advance,
Ahmad,
 
Re: For digital designers, Can u tell me which tool is that?

For Magma, they use the Blast flow

RTL Synthesis - BLAST_RTL
Physical Optimisation, CTS, Routing - BLAST_Fusion
 
Re: For digital designers, Can u tell me which tool is that?

hey ahmad
i'm sorry in being late to reply :)

well, i can give u only some info
i'm just into some of these tools :(

for designcompiler, it's a synthesizer, that uses the specs and constraints of the design to produce a netlist circuit with optimizations such as for area or timing for instance...it's like leonardo spectrum of mentor

primetime, it's for static timing analysis, so it deals with timing issues such as setup and hold time violation, min pulse width, clock skew, etc.

power complier is for the analysis and optimization of average power consumption at the RTL level and gate level

VCS is for RTL verification

tetramax is for ATPG (automatic test pattern generation)

formality is for equivalence checking



from Cadence, SoC Encounter is for place and route
Virtuoso is for layout

for magma, there is blast RTL for synthesis and blast fusion for place and route

i hope that was useful

regards,
Salma
 
Re: For digital designers, Can u tell me which tool is that?

salma ali bakr said:
from Cadence, SoC Encounter is for place and route

One more addition to this .. SoC is a complete platform, not just for place & route .. if accombined with NC, this is end to end flow .. i.e. RTL to GDSII ..

see this link for more details :
https://www.cadence.com/products/digital_ic/soc_encounter/index.aspx

They even call it : SoC ENCOUNTER RTL-to-GDSII SYSTEM
 

Re: For digital designers, Can u tell me which tool is that?

If you work for a company , just read the manual of the eda tools your company owns. Every tool can do the thing you want , they can do that almost equally well.
 

Re: For digital designers, Can u tell me which tool is that?

Synopsys:
VCS for Functional Verification
Design Compiler for Synthesis
Prime Time for STA
Cadence:
BuildGates for Synthesis
Common Timing Engine (CTE) for STA
Encounter for P&R

U can use Magma Blast for design from beginning to end.
 

Cadence BuildGates is almost obsolete .. Cadence replaced it with the new RTL Compiler synthesis tool .. it's more powerful .. very close to Synopsys DC .. and integrated in the SoC Encounter platform.
 
Re: For digital designers, Can u tell me which tool is that?

I got Cadence tools (IC5.1.44) installed, how can i know (where to search) whether Silicon Encounter is installed or not, in other words, is it a tool like icfb, icds, etc. ?

Thanks in advance,
Ahmad,
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top