Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

default binding problem in gate-level simulation

Status
Not open for further replies.

guiliu

Junior Member level 3
Joined
Oct 10, 2006
Messages
25
Helped
3
Reputation
6
Reaction score
2
Trophy points
1,283
Activity points
1,466
I got compiling warning message from Modelsim: " No default binding for component 'xxxxx'. (No entity named 'xxxxx' was found.) " from gate-level simulation.

The "xxxxx" stands for the gate name. The gate-level netlist is generated from Design_analyzer.

I used the same testbench as used in RTL simulation.

How to solve this problem?

Many thanks!
 

you need to include your simulation library in your gate netlist
 

    guiliu

    Points: 2
    Helpful Answer Positive Rating
U have to include u r verilog (or vhdl) library file which describes the standard cell functionality and timing into u r simulation environment.

Regards,
dcreddy
 

Thank you all!

I have tried to include the GTECH library into my GTECH netlish for gate-level simulation ( I do not have other technology libraries available in Modelsim), and the warnings are gone.

But for big designs, this method seem to be tedious. So I'd like to try to include the library to the simulation environment (ModelSim), like dcreddy said. But I have 2 questions:

1. How to import the umc library to Modelsim?
2. if the library is imported, how can i set it to simulation environment?

Thanks a lot !
 

Hi
If u r using vhdl or verilog there exists a techfile .v or .vhd(in 90 nm CMOS u have corelib.v). u just compile this with ur source code netlist and simulate.

cheers
srinivas
 

hi,
first ,modlesim is very slow for gate level sim, so if possible you can try vcs/ncsim. they are faster than modelsim.
second, using command line to compile library.v , it's easy
 

Thank u all for ur reply!

What can i do if the library is in db format?
 

U should include your simulation model of the std cell you uesed.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top