Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

What's NCVerilog Snapshot mean?

Status
Not open for further replies.

davyzhu

Advanced Member level 1
Joined
May 23, 2004
Messages
494
Helped
5
Reputation
10
Reaction score
2
Trophy points
1,298
Location
oriental
Activity points
4,436
ncsim tutorial

Hi all,

I used to be a Modelsim user. Now my boss force me to use NCVerilog(seems very difficult to learn). We dump data from NCVerilog and view signal using Debussy. All controled by script.

What's Snapshot mean in NCVerilog?

BTW, may you recommend some tutorial on NCVerilog?

Best regards,
Davy
 

simvision snapshot

davyzhu said:
Hi all,

I used to be a Modelsim user. Now my boss force me to use NCVerilog(seems very difficult to learn).

A change is always hard to adopt to, but that's the only thing that remains "unchanged" :)

We dump data from NCVerilog and view signal using Debussy. All controled by script.

Interesting, if you are OK - may I ask you what's that you find so good about Debussy? I know Waveform/Debug tools are very very personal favorite things hence once used to it, no body feels like changing. Besides the look-and-feel, do you see any potential benefit of Debussy over Simvison (part of ncsim)? Any advanced debug features that you just can't live without and that simvision doesn't have it? I used Simvision few years ago, and was amazed by it - was quite good.

What's Snapshot mean in NCVerilog?

I believe this name/concept came from NCVHDL. VHDL LRM has compile-elaborate-simulate steps well explained. It says at the end of elab stage one gets a simulatable model ready to start form time 0. NC names such a "read-to-simulate" model as Snapshot.

BTW, may you recommend some tutorial on NCVerilog?

Best regards,
Davy
Perhaps some google might hep you. But I can offer basic help on a case to case basis, so feel free to post queries such as:
This is how I do it in MTI, how do I do that in NC. I *might* be able to help.

Good Luck
Ajeetha, CVC
www.noveldv.com
 

    davyzhu

    Points: 2
    Helpful Answer Positive Rating
ncsim snapshot

There are various steps while you are using NCVerlilog in the Cadence tool.
After writing RTL you need to elaborate the design to create a snapshot.

The elaborated design hierarchy is stored in a simulation snapshot, which is the representation of your design that the simulator uses to run the simulation. The snapshot is stored in the library database file along with the other intermediate objects generated by the compiler and elaborate.

The advantage here is fast simulations times compared to other toos like Mentor once.
This helps when you are running really big designs.
Debussy is a wonderful tool to do lot of debugging once you have the final netlist ready.

Attached is the NClauch tutorial which is a GUI tool for running NCverlilog or NCVHDL
 

    davyzhu

    Points: 2
    Helpful Answer Positive Rating
ncverilog snapshot

Hi,

Once you have elaborated the design testbench, the ouutpt of elaboration is in the form of SSS file, which is refered to as snapshot.
Snapshot is the one which you load the elaborated file into the simulator - ncsim.
 

    davyzhu

    Points: 2
    Helpful Answer Positive Rating
ncverilog debussy

Hi all,

Thank you for your help!

A more question: can I use NCLaunch to replace the script control?

Or can NCLaunch do all the possible simulation work?

Best regards,
Davy
 

ncverilog tutorial

In my opinion , the NC snapshot just a picture of the simulation environment .
the sim run from time 0 to finish time .each time step , will freeze to do follow thing . evaluate schedule update segment . the freeze scene is the snapshot.
 

    davyzhu

    Points: 2
    Helpful Answer Positive Rating
cadence nc verilog snapshot

hi, davyzhu
mostly you can use nclauch to do script job, but I thik script is a good start for using eda tools.
btw: ncsim is most powerful simulation tool in eda world
 

    davyzhu

    Points: 2
    Helpful Answer Positive Rating
scripting nclaunch

Actually NClaunch is a GUI tool and does not need any scripting.It is just similar to Modelsim or other tools GUI. No scripting.
 

    davyzhu

    Points: 2
    Helpful Answer Positive Rating
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top