Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Why I can not do timing analyzing? please help me

Status
Not open for further replies.

mediative

Member level 1
Joined
Sep 4, 2005
Messages
39
Helped
2
Reputation
4
Reaction score
1
Trophy points
1,288
Activity points
1,718
When I do timing analyzing by SOC Encounter, the terminal prompt me : Timing graph must be built before running "reportSlacks"
and erenow when I build time graphy, the terminal give me the following warning:

Reading timing constraint file '../design/top.sdc' ...
**WARN[line 20]: Skipped invalid point odsp_mode
**WARN[line 21]: Skipped invalid point odsp_mode
**WARN[line 215]: Skipped invalid point odsp_mode
**WARN[line 215]: Empty -from list associated with set_false_path statement
**WARN[line 217]: Skipped invalid point core/oe_pad
...................
*info: set_clock_latency : 2 accepted, 0 skipped!
*info: create_clock : 2 accepted, 0 skipped!
*Info: refer to log file for more detail on skipped constraints if any
*** Mark 1491 set_logic ignored terminals ***
*** Read timing constraint file (0:00:00.0) ***
*** Constant propagation (69 ignored terminals) (cpu=0:00:00.0 mem=192.8M) ***
*** Mark 15295 ignored terminals (0:00:00.2) ***
*** Initialize timing graph (0 nodes, 0 edges) (cpu=0:00:00.0 mem=192.8M) ***
**WARN: Empty timing graph!!!


any point of view is thankful !
 

Maybe you just forgot to build timing graph before report timing.
So just type the following command, before reportSlacks
encounter> buildTimingGraph
then
encounter> reportSlacks
---------------------------------------------------------------------------------------

OR
-- You can use the super command for timing report,
encounter> timeDesign [-preCTS | -postCTS | -postRoute]
 

    mediative

    Points: 2
    Helpful Answer Positive Rating
check ur timing constraints file or try the related commands to build the timing graph.

Prashant
 

    mediative

    Points: 2
    Helpful Answer Positive Rating
I have updated my .SDC file and .v file,and now the warning does not exit, but when I do the in place optimization, a prompt warning in error application window as this:
Timing graph must be built before running "isTimingMet"
so I can not use IPO to fix setup time violation correctly. how can I solve it, need for your advice.

Added after 2 minutes:

ow,there are also a few warning in the terminal I have omited,
--> WARNING: Pin 'core/DOUT_regR8R/D' is driven 'ZERO' and 'X' simultaneously,
--> WARNING: Pin 'core/DOUT_regR23R/D' is driven 'ZERO' and 'X' simultaneously,
preserving 'X.' <TA-549>.
--> WARNING: Pin 'core/DOUT_regR24R/D' is driven 'ZERO' and 'X' simultaneously,
preserving 'X.' <TA-549>.
 

1) Build timing graph
>> Timing graph must be built before running "isTimingMet"

--> Just like mentioned above, maybe you need to do is
encounter> buildTimingGraph
--------------------------------------------------------------------------------

2) What command did you use to do IPO ?
>> so I can not use IPO to fix setup time violation correctly
--> Not quite understand what did you mean.

2.1)
encounter> placeDesign -inPlaceOpt

--> Just perform optDesign -preCTS

2.2)
encounter> optDesign -preCTS
shoud be able to do trial route, extract RC and report timing automatically.

3) WARNING(s), 'xxx' is driven 'ZERO' and 'X' simultaneously

--> Need to check the timing constraint (SDC file).
--> There must be multiple paths reach at these pin at the same time.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top