Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Verilog, specify block

Status
Not open for further replies.

incisive

Member level 4
Joined
Jul 22, 2005
Messages
77
Helped
4
Reputation
8
Reaction score
0
Trophy points
1,286
Activity points
1,798
verilog specify

Where would u specify timing checks for a verilog simulator?
and interconnect delay?
 

verilog specify block

I beleive specify timing checks in the specify block itself,replacement is done using the back annotation i.e sdf file,
even i am not sure but hopeit is right
 

    incisive

    Points: 2
    Helpful Answer Positive Rating
specify in verilog

Hi incisive,

Your meaning specify the hierachical timing check in the Verilog simulator or select different ways to do timing check in simulator?

Please clear it.
 

specify block verilog

A specify block defines the timing section of the module in a separate block. As a result, the
functional verification becomes independent of the timing verification. The specify block can
remain unchanged at different levels of abstraction.
A specify block is bounded by the keywords specify and endspecify, and must appear within
a module definition.
Do not confuse specify parameters (keyword specparam, short for specify parameter) with
module parameters (keyword parameter). You declare module parameters outside a specify
block, and use them to configure instances of the module. You declare specparams inside a
specify block, and use them as timing constants.
This subsection describes specify block parameters, module path delays, pulse filtering
controls, and timing checks.
 

    incisive

    Points: 2
    Helpful Answer Positive Rating
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top