Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

which tool do you use for behavioral modeling

Status
Not open for further replies.

beabroad

Member level 4
Joined
Nov 24, 2003
Messages
76
Helped
3
Reputation
6
Reaction score
2
Trophy points
1,288
Activity points
628
hi all

i would like to know which tool is better for behavioral modeling, for example, for PLL or CDR.

please also tell me whether you are in company or in university. i would like to know what people use in these two different areas.

i think the candidates are Matlab, simulink, ADS, verilog-a or AHDL, etc.

thank you.
 

I am in university.
In general, I work with the matlab and simulink.
in addition to, the systemC is a good solution
 

    beabroad

    Points: 2
    Helpful Answer Positive Rating
I am in company .We use verilog-a & Matlab
 

    beabroad

    Points: 2
    Helpful Answer Positive Rating
visualart said:
I am in university.
In general, I work with the matlab and simulink.
in addition to, the systemC is a good solution

do you use cmex or stateflow or just use the components in simulink itself?
are they enough?
for example, i want to model a VCO with phase noise, and i do not know how to model that with the components within simulink. i only find one VCO component, however, it does not have phase noise function.
 

I am using verilog-A and matlab
 

MATLAB SIMULINK & ADS, Both are used.
However Simulink is prefered.
working in company.
 

Verilog-A and simulink, University
Verilog-A is really nice. When you finish the behaviral simulation and start the transistor-level circuit design, the building blocks can be easily replaced from Verilog-A view to schematic view one by one to do the system simulation.
In verilog-A, jitter can also be simulated, which is shown in the attached paper.
 

Could simulink simulate the AC characteristic?
 

i use verilogA and simulink.(company)
 

I use the following tools:
MATLAB,SIMULINK,OrCAD,MULTISIM for analogue simulation.
 

Simulink is not very good at simulating electronic components. An add-on call the Simpower systems blockset is required for limited functionality.

Verilog-A provides a clear migration path to the IC level and is aware of the potential/flow (voltage/current). Also you can specify risetime, falltime, delay for every block.

I am neither at a university or at a company, but i am at peace.
and i use verilog-A. :D

Check out the design examples in the pllLib in cadence/spectre.

Also scour through the archives of the behavioral modeling conference.
https://www.bmas-conf.org/
 

i am in university
i use the ADS and Matlab.
i think the ADS is convenience and quickly, while Matlab is very professional.
 

use matlab, or verilog-A...
in Uniersity
 

I use verilog-A( with Spectra), but calculations using matlab. ASCII file is from tran simulation.
Industry
 

i m using Verilog-A with spectre
universty
Verilog-A in an analog design enviroment is very usefull and help in top down design flow
 

Matlab -> Simulink -> VHDL-AMS/VerilogA (Degree of abstraction and design performance)

Company
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top