Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Xilinx router warning

Status
Not open for further replies.

osbourne

Member level 2
Joined
Jun 13, 2005
Messages
44
Helped
2
Reputation
4
Reaction score
1
Trophy points
1,288
Activity points
1,827
dcm may have excessive skew

When I place and route my Xilinx design, I get the following message:

WARNING:Route - CLK Net:clk_buf
may have excessive skew because 3 CLK pins
failed to route using a CLK template.

What does it mean and what can I do to optimise/circumvent this warning ?
 

Hi osbourne,

You seems to have 3 clock signals as input of your design and may be only two clock route available (buffer + global route)...
Which device do you target ?
Do you really need 3 input clock ?
 

    osbourne

    Points: 2
    Helpful Answer Positive Rating
Hi,

I have only ONE input clock in my design.
I target a Virtex II xc2v6000 device.
 

Can you post ur synthesis reports here??
 

    osbourne

    Points: 2
    Helpful Answer Positive Rating
Could you send the portion of code, wherein you have implemented the clock logic.
Have you used a clkin pin of FPGA ? If not then there may be a skew.
Have you used DCM ? If not then also there can be a skew.
Finally, have you given the clk through a BUFG ?
 

    osbourne

    Points: 2
    Helpful Answer Positive Rating
Hi,

yes, I have used a clkin pin of the FPGA and I have used DCM.
I think DCM automatically instantiates a BUFG.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top