Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Metal filling insertion

Status
Not open for further replies.

rdk28

Newbie level 4
Joined
Feb 21, 2014
Messages
6
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
38
Hello all,

Metal filling is done after the design is complete to keep an uniform metal density to avoid dishing and erosion effect during chemical mechanical polishing.

But Metal fills, we used are floating or connected to power lines? which is types of metal fills normally used?
 

Metal fills are only used for density as you said earlier and they remain as floating . Metal fillls are same as metals used in you design having no text over them jsut used for density purpose , having same width and spacing rule as metals in the design.
 

Some designers used the metal filling to "improve" and meet the IR drop/resistivity target by connecting the metal filling to power nets, for example odd layers to ground and even layers to supply.
 

Hi,

yes, we use metal fills to maintain the uniform density.

this metal fills are not floating but, is connected to mostly VSS.
connected VSS is because to reduce the IR-EM effect and also timing will be better after the Metal Fill insertion.

thanks
Rama Krishna
 

Hi,
Processes that use chemical-mechanical polishing require limited variation in feature density on metal layers, typically 20 to 80%. Both underutilization (empty or low-routing-density regions) and over utilization (very wide power geometries) affect yields negatively. A region with metal density that is too small or too large causes a density violation and can result in yield problems. One can fix maximum metal density violations by using metal slotting and minimum metal density violations by inserting dummy metal filler geometries.

The filler metal can be tied to power or ground nets, where it can serve as shielding to alleviate crosstalk.

The metal fill has the following features:
It can be square or rectangular.
It can be added in a staggered or non-staggered pattern.
It can be connected to power or the ground (tied-off) or left unconnected (floating).
It can be added in timing aware or non-timing aware mode.
It can be part of the power and ground structure.

If one removes the floating metal fill, it is more difficult to reach the preferred density requirements. In addition, a floating metal fill has the following advantages over a tied-off metal fill:
Lower cross coupling capacitance, especially if one specifies short metal fill segments (long metal fill segments behave like they are really tied off.
Easier to trim when there are violations.
One can trim a floating metal fill that causes DRC violations. If one adds a tied-off metal fill, however, one must either delete it manually to avoid problems with vias.

When a tied-off metal fill is trimmed, the vias cause the following problems:
If not deleted, they cause shorts to new wires.
If deleted:
An isolated piece of previously tied-off metal fill might be left after trimming.
If the new routing was added during an ECO in which some layers were frozen, the change might affect a layer that should have been left frozen.

Unconnected (floating) metal fill adds less capacitance to the design and is easier for postroute and postmask changes to handle than connected (tied-off) metal fill.

Regards
Macein
 
  • Like
Reactions: rdk28

    rdk28

    Points: 2
    Helpful Answer Positive Rating
Hello,
If Metal and Dummy Metal are the same, why we have two different layers for them. I mean for example in Cadence there are dum and dra for designing layout? Is it only for being more clear in layout?
Thank you
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top