Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Physical verification- DRC, LVS

Status
Not open for further replies.

ramesh28

Member level 3
Joined
May 21, 2013
Messages
57
Helped
1
Reputation
2
Reaction score
1
Trophy points
1,288
Activity points
1,670
Hello all,

i'm new to Physical verification. Going to start career in PV.

so can you help me that what should i know related to PV?
i gone through DRC, LVS, Antenna, ERC whatever material i got through internet. i know basics of DRC, LVS, ERC, antenna, but still not get very clear idea.

If anyone have good material related to PV then please share with me.
 

Hi,

If you use cadence then go htrough the help tutorials...

in terminal type "cdnshelp" for cadence help..

Thanks..
 

Hi,

Please study on background methodology of DRC, LVS etc. Something like, how to you create a layout netlist for LVS, how the tool compares, how do you implement DRC rules etc.
Get a basic understanding of different rulefiles/runsets and be good at coding. Coding helps you to implement runsets as most of the PV tools use advanced programming languages based on C++ to implement the runset.

Thanks,
 
Hi,

Please study on background methodology of DRC, LVS etc. Something like, how to you create a layout netlist for LVS, how the tool compares, how do you implement DRC rules etc.
Get a basic understanding of different rulefiles/runsets and be good at coding. Coding helps you to implement runsets as most of the PV tools use advanced programming languages based on C++ to implement the runset.

Thanks,


Thanks for reply.
if you have any material regarding this. Please,can you share with me?

Thanks again..
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top