Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by Yellow Jackster

  1. Y

    How to program this into BLOCK DIAGRAM using QUARTS II. I have difficulties.

    I have already create a symbol for this VHDL. The one I use to draw block diagram is File>new>Block/Diagram. I use one symbol of conveyor, one decoder, VCC, inputs, outputs etc. The problem is the connection I am not sure how many decoder, how many conveyor symbols, how many input and output...
  2. Y

    How to program this into BLOCK DIAGRAM using QUARTS II. I have difficulties.

    A sensor is used to detect the number of bottles on the conveyor. The user can set any number of bottles he wants to detect on inputs: P4P3P2P1P0. Design a system such that when the reference number is reached, a led turns on and the counts stops at the reference number. Show the number of...

Part and Inventory Search

Back
Top