Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by wpwang

  1. W

    Zoom in/out causes return to login screen in IC443 Solaris 8

    ic443 I used IC443 in sollaris 8.0 on SUN brad1000. When I open database and do some zoom in/out, then I will be fore quit to login screen. Could you help me? I hear someone tell me. I have to install solaris 8 patch. But I don't know what's patch number. Help!!!!
  2. W

    What different of Hsim2.0 and hsim3.0

    How to create rom model and input romcode in hsim? Could you provide example? Thank you!
  3. W

    Is Verilog-XL included in IC5033 package?

    IC Cadence and LDV 3.0 It's old question. The windows version is NOT include verilog-XL. I ask before!
  4. W

    Looking for users manual of Sanyo LC5852N or LC5852L

    sanyo lc5852 Help me! Where can get user's manual of sanyo LC5852N or LC5852L? I just can find datasheet! Help me! Cross-posting isn't allowed. The other post is deleted. Warning! -- mw
  5. W

    How to use verilog-xl in Cadence LDV 3.3?

    Dear standardon, DO you have any tranning data or example about NC-sim or nc-verilog? I want to transfer "verilog-xl" to "nc_verilog". Thank all!
  6. W

    How to use verilog-xl in Cadence LDV 3.3?

    Re: C@dence LDV Hi nanako, I install LDV3.3 again in Win2000 (PC). I don't disable any option. But I still can't find "verilog" in all directory of my computer. I don't know why? Have any difference between Windows version and Linux Version? Help me!!!! Thank you again!
  7. W

    How to use verilog-xl in Cadence LDV 3.3?

    C@dence LDV How to use verilog-xl in C@dence LDV? I used verilog-xl command "verilog" in LDV3.0. I can't find command "verilog" in above LDV 3.3 . Does LDV support verilog-xl above version 3.3 ? If the answer is "Yes", What's the verilog-xl command above LDV 3.3?
  8. W

    verilog-xl simulation work fine, but ncsim hang up

    How to use verilog-xl in C@dence LDV? I used verilog-xl command "verilog" in LDV3.0. I can't find command "verilog" in above LDV 3.3 . Does LDV support verilog-xl above version 3.3 ? If the answer is "Yes", What's the verilog-xl command above LDV 3.3?
  9. W

    Looking for international AM/FM distributed table

    AM/FM frequency Does anyone have internation AM/FM distributed table? Best Regards, :D
  10. W

    AN94082 TEA57XX family selftuned AM/FM receiver

    Does anyony have AM/FM frequency table for internation distribute? Thanks,
  11. W

    how to use SCH.LIB, SCH.TLB, SYM.LIB and SYM.TLB in epd3x?

    EPD problem! Help! Help! How to used SCH.LIB, SCH.TLB, SYM.LIB and SYM.TLB in epd3x? or Where can find infomation about uesd library? Thanks all!
  12. W

    Mentor EPD problem ! help!

    How to generate builtin library? or How to use SCH.LIB, SCH.TLB, SYM.LIB and SYM.TLB in "workview old version" ? Please help me! Thank you! Best Regards,
  13. W

    How to use NC-VHDL to genrate *.fsdb file to Debussy?

    how to use ncvhdl If you install complete debussy, they should provide default in this directory. If you want to make the PLI, you should have c complier.
  14. W

    How to use NC-VHDL to genrate *.fsdb file to Debussy?

    fsdb ld_library You can get libpli.dll when you finish all step. You set LD_LIBRARY_PATH C:\Novas\Debussy\share\PLI\nc_vhdl\WINNT\ Do you find out libpli.dll in C:\Novas\Debussy\share\PLI\nc_vhdl\WINNT\ ? Check it!

Part and Inventory Search

Back
Top