Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by welcome2colours

  1. W

    program related to sorting by comparing adjacent numbers

    library ieee; use ieee.std_logic_1164.all; entity sort is port(in0,in1,in2,in3,in4:in integer:=0; out0,out1,out2,out3,out4:out integer:=0); end sort; architecture asort of sort is type name is array(0 to 4) of integer; signal x:name; begin x(0)<=in0; x(1)<=in1; x(2)<=in2; x(3)<=in3; x(4)<=in4...
  2. W

    new to asic and need help

    could u just tell me difference betwen simulation and synthesis
  3. W

    information related to rtl design

    i need 2 present a seminar on rtl design...could any one suggest me where i can find matter

Part and Inventory Search

Back
Top