Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by vlsi_whiz

  1. V

    Problems with Verilog bit array.....

    Hi, Normally, you wouldn't add so much of logic to the reset condition in RTL and you wouldn't use the sequential clocking block always @ (posedge or negedge) for signals other than clocks and resets. If you want to sample the address pins , assign the incoming address to a register on the...
  2. V

    Usb 2.0 host controller

    Hi, All USB 2.0 ICs have to conform to the USB standards which mandates that it support 12Mbps/480Mbps transmission. Under ideal conditions, you should get close to 480Mbps when you use any USB chip. This depends on the end application, board layout, etc. To find out the actual speed, refer to...
  3. V

    help to clear fatal error

    RAM should be modeled in the following way. RAM modeled without clocks will mostly infer Latches. library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; entity RAM is generic ( K: integer:=8; -- number of bits per word W: integer:=8); -- number of address bits...
  4. V

    LED as input in verilog

    If the LED is the source emitting coded light/UV, you can use a Light sensor to detect the transmitted light and then convert them to digital signals, then do the rest of the processng.
  5. V

    FPGA asynchronous set and reset

    Spartan 3 FPGAs have FFs with synch set, reset. The same code on the spartan 3 FPGA will give a single DFF (FDRS). While on the Virtex 6 will infer LUT along with a FDCE. library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity example_FDRSE is port( Q : out std_logic; -- Data output...
  6. V

    FPGA asynchronous set and reset

    Your code will infer a transparent latch along with FDCE. But the tool will complain since both set and reset are asynchronous. The design may not also work on the FPGA. If you restructure your code this way, you will infer only a single FDCE. process ( clock, reset ) begin if reset = '1'...
  7. V

    FPGA asynchronous set and reset

    FPGAs from Altera and Xilinx do have multiple types of D-Flip Flops namely: 1. FDCE - D-FF with Asynchrounous Clear (C) 2. FDRE - D-FF with Synchronous Reset (R) 3. FDSE - D-FF with Synchronous Set (S) 4. FDPE - D-FF with ASynchronous Preset (P) # To implement a FF with Asynchronous Reset...
  8. V

    VHDL code for T-Flip Flops

    -- -- D-Flip FLop -- library IEEE; use IEEE.STD_LOGIC_1164.all; ENTITY dff IS PORT ( clock : IN std_logic; reset : IN std_logic; d : IN std_logic; q : BUFFER std_logic ); END ENTITY dff; ARCHITECTURE rtl OF dff IS BEGIN PROCESS ( clock...
  9. V

    ad5932 programming problem...using verilog coding

    Try this one... module t1 ( input clk, input reset, input start, output sclk, output sdata, output ss_n, output ctrl ); reg sclk_r; reg sdata_r; reg ss_n_r; reg ctrl_r; reg [4:0]counter; reg [7:0]data_counter...
  10. V

    bad synchronous description

    Try this and check if it works: library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; -- Uncomment the following lines to use the declarations that are -- provided for instantiating Xilinx primitive components. --library UNISIM; --use...
  11. V

    Systemc install error (Help)

    Hi, On Ubutnu Systems, you should do a "sudo ./configure" , "sudo make" and "sudo make install". This will give the compiler and maker superuser permissions and complete the install.
  12. V

    read and write SAIF files in modelsim

    Hi, Check out the following link for performing Power simulation with Synopsys Power compiler SAIF files and ModelSim. **broken link removed**
  13. V

    Signal Connected to Multiple Drivers Help?

    The problem is with the following statements: DividerSegments <= s; DividerLEDS <= t; You are driving these two signals in proc0's if .. else statements and then trying to drive them outside the process again. proc0: process(DividerCLK, DividerRST) begin if (DividerRST = '1') then...
  14. V

    [SOLVED] ROM implementation on FPGA

    If you're using Xilinx IP, then when you generate the IP core using coregen, you can add the initial values via the coregen itself. You may need the values in a hex format or any other one specified by Xilinx. You can get this information from the ISe user guide as well as the cores user guide.
  15. V

    How to minimize timing score in Xilinx ISE

    Hi, To minimize your timing score.. you need to synthesize your design with the correct constraints. XST takes constraints for synthesis and produces a netlist with the timing information embedded in it which is then used for Mapping and P&R. 1. XST constraints are specified using the XCF...

Part and Inventory Search

Back
Top