Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by vlsi_mani

  1. V

    Systemverilog interface modports with verilog and VHDL module

    I have an SV interface definition. (Used both inside RTL as well as test bench top) (PS: this is only a tiny bit of the entire code) interface bus_if(input clk, rst_n); `include "bus_params.v" logic [3:0] cfg_slave[0:1]; modport slave_port(input clk,rst_n,cfg_slave); modport master_port(input...

Part and Inventory Search

Back
Top