Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by Vivek2Keviv

  1. V

    Synopsys: DC synthesis of design with different clocks

    Hi, Thanks for your quick reply! Yeah, you are right! we can't use this command, set_input_delay 1 -max -clock D_CLK [remove_from_collection [all_inputs] [get_ports CLK_A]] But how to seperate inputs of specific clock from all_inputs??? As you mentioned we can specify the inputs...
  2. V

    Synopsys: DC synthesis of design with different clocks

    Hello all, Greetings! Hope you all doing good! I have a quick question about synopsys DC synthesis. I have a top module called "topblock.v". This module has two sub modules called "block1.v", "block2.v". The outputs of "block1.v" goes to "block2.v" as the inputs but the module "block1.v" is...

Part and Inventory Search

Back
Top