Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by vipulsinha

  1. V

    Finding early the Frequency of operation in the ASIC/Module while in the Arhitecture

    Hello All , I am designing a module that has to run at 350MHz. I am in the architecture phase and would like to know how to figure out the possible frequency of operation of the design before entering the synthesis phase. Normally we have to wait till the synthesis to get an idea about the...
  2. V

    FIFO Depth Calculation

    Hi Guys What could be the optimal buffer for an asynchronous FIFO with the source clock at 50 MHz and the Read clock is 25 MHz Data is clming as 8 bits with each clock write . There is no idle cycle. We have to keep the synchronization latancy also into account. Thanks Vips
  3. V

    ASIC and FPGA RTL coding style

    Hi Guys I want to know that what considerations one must observe when coding the RTL for an ASIC and for the FPGAs Tutorial or handouts regarding the same will be highly appreciated.. Thanks Vips
  4. V

    10 Best ways to code an FSM in verilog/VHDL

    Hi All I am looking for any material/handout that describes the best effective way to code an FSM. Like HUffman method, 2/3 process method and the rtl for the each method. Thanks Vipul
  5. V

    Ways to code an FSM in VHDL/Verilog

    Hi All Sometime Back i read a comprehensive paper that described about 10 Best ways to code an FSM in Verilog and VHDL for time /Area Or both. It is like 3 Process/ 4 Process/Huffman style coding .. I forgot the name of the paper or the chapter or book. Anyone has similar document pls...
  6. V

    Metastability Symptoms in hardware

    Metastability Syamptoms Hi all, I have one question regarding the Metastability. Lets suppose that the design has suffered metastability . What could be the Symptoms in the Hardware that make us believe that is has suffered the metastability. Any past experience with someone regarding this...
  7. V

    Guidelines for defining the False path in a design

    Hi All I am wondering as what considerations one must take to declare the path as False path. We know that the paths between two different clocks/Clock groups are freated as false path ans is not considered in the STA analysis. Anyone has some guideline for defining the False path in the...
  8. V

    Setup/Hold after the ASIC tapeout

    I think We can change the phase of the clock to make it workable apart from increasing the clock width.
  9. V

    Setup and Hold time at Transistor level

    Hi Can anyone describe the setup and Hold time at the transistor level. Why Setup /Hold time is needed i mean its genesis. We know that when either of them is violated it leads to metastability. It will be a good discussion to find out at the transistor level how it works and from where this...
  10. V

    Need VCS manual /User guide/Workshop material

    vcs manual Hi All I am new to VCS simulation. Can anyone help with some material /User guide/Manual for the same . Thanks in advance Vipul
  11. V

    Reg I2C Bus - should I expect Z as input in place of 1?

    reg i2c Hi All I am implementing the I2C Slave and i am using to data lines for sda input and sda output. MY question is that shall I expect from I2C bus to give me Z as input in place of 1 Shall I drive output 1 as Z to the sda_out Pls specify Thanks Vipul
  12. V

    i2c Start and stop detection

    i2c start condition setup time I have to detect a case when the SCL is high and the data changes from high to low then it is a START condition and when the SCL is high and data changes from Low to High then it is a STOP condition. Henceforth My design and the code is clocked at SDA_IN Any...
  13. V

    i2c Start and stop detection

    i2c start Hi all I am implementing the I2C Slave and I am using the I2C clock SCL for detecting the start and stop condition . I am detecting the start and stop successfully in simulation but i am not able to do the same in the post synthesis scenario. More so I am getting a setup time...
  14. V

    Multiple VHDL files and DES/AES Core

    des vhdl Hi Antony As I understand from you post the answers to your questions are below. You have downloaded the VHDl files for the Encryption algorithm .You will find that there will be a top level file that binds all the modules in the design. In VHDL we have top level ENTITY that has...
  15. V

    VXI bus interface design- need help with design, programming

    VXI bus interface design Hi guys I am desiging a VXI interface design on FPGA. Can anyone hep me if they have previously designed an interface / The programming language is VHDL. It will be a great help if some has done it previously could give me some heady way to go ahead with the...

Part and Inventory Search

Back
Top