Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by ustinoff

  1. ustinoff

    VHDL package and Modelsim

    Yes. I added output signal (they have array type) from "Object" window and it works correctly.
  2. ustinoff

    VHDL package and Modelsim

    I found my signals in "Object" window
  3. ustinoff

    VHDL package and Modelsim

    Hello. In my top level entity i use package for create an array of std_logic_vector and this array is my output data type (output buses are this array). When i try to simulate it by using Modelsim i watch only input ports in "Wave" window (they have standart std_logic/std_logic_vector types) and...
  4. ustinoff

    Digital and wireless communication

    Because LDPC and turbo codes provide Eb/No ratio that the most closest for Shannon limit.
  5. ustinoff

    vhdl how is "case" works?

    Hello. The code: library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity test is port ( i_arst : in std_logic; i_clk : in std_logic; i_data : in std_logic_vector (3 downto 0); o_data : out std_logic_vector (3 downto 0) ); end entity; architecture arch...
  6. ustinoff

    VHDL testbench read stimulus from file

    Hello everyone. I want to use some data from external file in my testbench. Loading data from file: ------------------------------------------ --LOADING DATA FROM FILE----------- ------------------------------------------ type signal_storage is array (integer range <>)of std_logic_vector...
  7. ustinoff

    [moved] IFF Mk MA & Mk XII

    Re: IFF Mk MA & Mk XII Well, i have understood about codes but i have not done the same with signal processing.
  8. ustinoff

    [moved] IFF Mk MA & Mk XII

    Hi everyone. There are two questions about IFF Mk MA & Mk XII. 1) Open standart document has the definition: Can someone tell how is codes created by using impulse from transponder's reply message? 2) In common case, we have a very large aircrafts on air and almost all of them has...
  9. ustinoff

    Fail to link the disign

    In internet you can find fix how to fix ISE 14.7 for Win8 and try do the same on Win10.
  10. ustinoff

    [SOLVED] autocorrelation definition

    The first formula usually uses for random processes analysis. In this case operator E means average by realizations of random process. The second formula usually uses for determinate signals analysis.
  11. ustinoff

    Rayleigh model. X and Y independent?

    Yes, they are statisticaly independent.
  12. ustinoff

    BPSK mapper for OFDM modulation

    Write testbench and check it. But: 1) Better use numeric_std library that std_logic_arith; 2) Usually when d = 0 q <= "11" and when d = 1 q <= "01", but it is not matter.
  13. ustinoff

    UART Receiver in VHDL

    Forecasters on vacation. You can simulate this code in Modelsim or another HDL simulator and you will see - work or not.
  14. ustinoff

    how to find the phase of the signal in fft??

    Check what are using - degrees or radians. As i understood you are using Matlab; Matlab use radians by default.
  15. ustinoff

    how to find the phase of the signal in fft??

    Absolute value of complex FFT values = magnitude (amplitude) spectrum Argument of complex FFT values = phase spectrum

Part and Inventory Search

Back
Top