Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by uestchuang

  1. U

    How to convert Xilinx verilog to Synopsys

    hi,I take a try like this: vcs -Mupdate -F test12.v t.v e:/XILINX/ISE_DS/ISE/verilog/src/glbl.v -y e:/XILINX/ISE_DS/ISE/verilog/src/unisims but,it reported "Source file e:/XILINX/ISE_DS/ISE/verilog/src/glbl.v" cannot be opened for reading." note: the "e:/XILINX/ISE_DS/ISE/verilog/src/glbl.v"...

Part and Inventory Search

Back
Top