Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by triplel06

  1. T

    12 Hour Clock using VHDL

    I was provided a block diagram and told to make a 12 hour clock. (the diagram is on the OP) Seconds seem to work fine and I can get an led to blink every second. I used minute_out first off because that was how it was labeled on the block diagram and second I figured if I assigned an led to the...
  2. T

    12 Hour Clock using VHDL

    another quick question. when I test the code it comes back with zero errors which is awesome but when I assign the minute_out to a led pin it doesnt blink every minute why is that?
  3. T

    12 Hour Clock using VHDL

    Thank yall for all the help! Yes Ive read that the way Im doing my 1Hz is mostly wrong, but it was the only way my labs have shown to do it in the past. My knowledge of VHDL is somewhat limited. Below is the posted code that came back without any errors. library ieee; use...
  4. T

    12 Hour Clock using VHDL

    Hello all, I'm trying to use VHDL so create a 12 hour clock. I have to break up each part into blocks and them use a top level to combine them all. I have the TickGenerator which moves the 50MHz clock down to a 1Hz clock. Next is the second generator block which I have below but keep getting...

Part and Inventory Search

Back
Top