Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by TinkerBell

  1. T

    hi i am trying to simulate invertor in s-edit of tanner......

    You have to include the model library file. Follow these steps: Go to setup-->Spice simulation Select General on the left pane.Under Files and Directories on the right side,you will find an option called Library files. Click on browse, select your model library file ( *lib) and then press...
  2. T

    basics

    Hey frnds..!!...My professor asked us to read Digital Integrated Circuits by William Samson...Is there any book like that ? Or am I pronouncing the author's name wrong ? Can anyone post the Amazon link for that ? Thanks, Tink.
  3. T

    suggest Books for following topics

    Hey frnds..!!...My professor asked us to read Digital Integrated Circuits by William Samson...Is there any book like that ? Or am I pronouncing the author's name wrong ? Can anyone post the Amazon link for that ? Thanks, Tink.
  4. T

    Direct Digital Frequency Synthesizers (DDFS)

    Yeah I got it...Thanks alot..!!!..I am clear with your explanations.But I have some more doubts...So what does a Phase Accumulator exactly do ? What is the input to the phase accumulator ? And how does this phase value get converted to a continous amplitude by the look up table ? You say that...
  5. T

    Direct Digital Frequency Synthesizers (DDFS)

    Hi Barry, Nice to hear from you.Yes, your right...There should be some way to view a sine wave in ModelSim.In the wave properties dialog box,I find these... 1.analog step 2.analog interpolated 3.analog backstep Can you pls tell me the significance of using each option ? And yes, I am...
  6. T

    Need guidance for physical design flow(cadence)

    Hope this attached tutorial helps you.:arrow:
  7. T

    Direct Digital Frequency Synthesizers (DDFS)

    Hi all, This is my first post.I am new to this topic.Can somebody throw me some light on implementing DDFS using different algorithms in verilog ? I want to generate a sine wave but I am not able to do it in Model Sim.My DAC output is in the form of bits instead of a wave.So I have a doubt if...

Part and Inventory Search

Back
Top